Brazo fuerte - StrongARM

Microprocesador DEC StrongARM SA-110

El StrongARM es una familia de la computadora microprocesadores desarrollados por Digital Equipment Corporation y fabricados a finales de 1990, que implementaron el ARM v4 arquitectura del conjunto de instrucciones . Más tarde se vendió a Intel en 1997, quien continuó fabricándolo antes de reemplazarlo con el XScale a principios de la década de 2000.

Historia

Según Allen Baum, el StrongARM remonta su historia a los intentos de hacer una versión de bajo consumo del DEC Alpha , que los ingenieros de DEC rápidamente concluyeron que no era posible. Luego se interesaron en diseños dedicados a aplicaciones de bajo consumo que los llevaron a la familia ARM. Uno de los únicos usuarios importantes de ARM para productos relacionados con el rendimiento en ese momento era Apple , cuyo dispositivo Newton se basaba en la plataforma ARM. DEC se acercó a Apple preguntándose si podrían estar interesados ​​en un ARM de alto rendimiento, a lo que los ingenieros de Apple respondieron "Phhht, sí. No puedes hacerlo, pero sí, si pudieras, lo usaríamos".

StrongARM fue un proyecto de colaboración entre DEC y Advanced RISC Machines para crear un microprocesador ARM más rápido. El StrongARM fue diseñado para abordar el extremo superior del mercado integrado de bajo consumo, donde los usuarios necesitaban más rendimiento del que ARM podía ofrecer y al mismo tiempo poder aceptar más soporte externo. Los objetivos eran dispositivos como nuevos asistentes digitales personales y decodificadores .

Tradicionalmente, la división de semiconductores de DEC estaba ubicada en Massachusetts . Para poder acceder al talento del diseño en Silicon Valley , DEC abrió un centro de diseño en Palo Alto, California . Este centro de diseño fue dirigido por Dan Dobberpuhl y fue el sitio principal de diseño del proyecto StrongARM. Otro sitio de diseño que trabajó en el proyecto fue en Austin, Texas, que fue creado por algunos ex-diseñadores de DEC que regresaban de Apple Computer y Motorola . El proyecto se estableció en 1995 y rápidamente entregó su primer diseño, el SA-110 .

DEC acordó vender StrongARM a Intel como parte de un acuerdo de demanda en 1997. Intel utilizó StrongARM para reemplazar su línea defectuosa de procesadores RISC, el i860 y el i960 .

Cuando la división de semiconductores de DEC se vendió a Intel, muchos ingenieros del grupo de diseño de Palo Alto se trasladaron a SiByte , una empresa de nueva creación que diseña productos MIPS system-on-a-chip (SoC) para el mercado de redes. El grupo de diseño de Austin se escindió para convertirse en Alchemy Semiconductor , otra empresa de nueva creación que diseña MIPS SoC para el mercado de dispositivos portátiles. Intel desarrolló un nuevo núcleo StrongARM y lo introdujo en 2000 como XScale .

SA-110

El SA-110 fue el primer microprocesador de la familia StrongARM. Las primeras versiones, que operan a 100, 160 y 200 MHz, se anunciaron el 5 de febrero de 1996. Cuando se anunciaron, las muestras de estas versiones estaban disponibles, con una producción en volumen programada para mediados de 1996. Las versiones más rápidas de 166 y 233 MHz se anunciaron el 12 de septiembre de 1996. Las muestras de estas versiones estaban disponibles al momento del anuncio, con una producción en volumen programada para diciembre de 1996. A lo largo de 1996, el SA-110 fue el microprocesador de mayor rendimiento para dispositivos portátiles. Hacia fines de 1996, era una CPU líder para dispositivos de Internet / intranet y sistemas de clientes ligeros . La primera victoria de diseño del SA-110 fue el Apple MessagePad 2000 . También se utilizó en varios productos, incluidos Acorn Computers Risc PC y el sistema de edición de video Eidos Optima . Los diseñadores principales del SA-110 fueron Daniel W. Dobberpuhl , Gregory W. Hoeppner, Liam Madden y Richard T. Witek.

Descripción

El SA-110 tenía una microarquitectura simple . Era un diseño escalar que ejecutaba instrucciones en orden con una tubería RISC clásica de cinco etapas . El microprocesador se dividió en varios bloques, IBOX, EBOX, IMMU, DMMU, BIU, WB y PLL. El IBOX contenía hardware que operaba en las dos primeras etapas del proceso, como el contador del programa . Buscó, decodificó y emitió instrucciones. La búsqueda de instrucciones se produce durante la primera etapa, la decodificación y la emisión durante la segunda. El IBOX decodifica las instrucciones más complejas en el conjunto de instrucciones ARM traduciéndolas en secuencias de instrucciones más simples. El IBOX también manejó instrucciones de sucursales. El SA-110 no tenía hardware de predicción de ramas , pero tenía mecanismos para su procesamiento rápido.

La ejecución comienza en la etapa tres. El hardware que opera durante esta etapa está contenido en el EBOX, que comprende el archivo de registro , la unidad aritmética lógica (ALU), el cambiador de barril , el multiplicador y la lógica del código de condición. El archivo de registro tenía tres puertos de lectura y dos puertos de escritura. La ALU y la palanca de cambios de barril ejecutaron instrucciones en un solo ciclo. El multiplicador no está canalizado y tiene una latencia de varios ciclos.

La IMMU y la DMMU son unidades de gestión de memoria para instrucciones y datos, respectivamente. Cada MMU contenía un búfer de búsqueda de traducción (TLB) de traducción totalmente asociativa de 32 entradas que puede asignar páginas de 4 KB, 64 KB o 1 MB . El búfer de escritura (WB) tiene ocho entradas de 16 bytes. Permite la canalización de tiendas. La unidad de interfaz de bus (BIU) proporcionó al SA-110 una interfaz externa.

El PLL genera la señal de reloj interno a partir de una señal de reloj externa de 3,68 MHz. No fue diseñado por DEC, pero fue contratado por el Centre Suisse d'Electronique et de Microtechnique (CSEM) ubicado en Neuchâtel, Suiza .

El caché de instrucciones y el caché de datos tienen cada uno una capacidad de 16 KB y son asociativos de conjuntos de 32 vías y están direccionados virtualmente. El SA-110 fue diseñado para usarse con memoria lenta (y por lo tanto de bajo costo) y, por lo tanto, la alta asociatividad configurada permite una mayor tasa de aciertos que los diseños de la competencia, y el uso de direcciones virtuales permite que la memoria se almacene en caché y no se almacene en caché simultáneamente. Las cachés son responsables de la mayor parte del recuento de transistores y ocupan la mitad del área de la matriz.

El SA-110 contenía 2,5 millones de transistores y tiene un tamaño de 7,8 mm por 6,4 mm (49,92 mm 2 ). Se fabricó por DEC en su propiedad de proceso CMOS-6 en su Fab 6 fab en Hudson, Massachusetts. CMOS-6 fue el proceso de semiconductores de óxido metálico complementario (CMOS) de sexta generación de DEC . CMOS-6 tiene un tamaño de característica de 0,35 µm, una longitud de canal efectiva de 0,25 µm, pero para su uso con el SA-110, solo hay tres niveles de interconexión de aluminio . Utilizaba una fuente de alimentación con un voltaje variable de 1,2 a 2,2 voltios (V) para permitir que los diseños encontraran un equilibrio entre el consumo de energía y el rendimiento (voltajes más altos permiten velocidades de reloj más altas). El SA-110 estaba empaquetado en un paquete plano cuádruple delgado de 144 pines (TQFP).

SA-1100

El SA-1100 fue un derivado del SA-110 desarrollado por DEC. Anunciado en 1997, el SA-1100 fue diseñado para aplicaciones portátiles como PDA y se diferencia del SA-110 al proporcionar una serie de características que son deseables para tales aplicaciones. Para acomodar estas características, el tamaño de la caché de datos se redujo a 8 KB.

Las características adicionales son memoria integrada, PCMCIA y controladores LCD en color conectados a un bus del sistema integrado y cinco canales de E / S en serie que están conectados a un bus de periféricos conectado al bus del sistema. El controlador de memoria admitía FPM y EDO DRAM, SRAM, flash y ROM. El controlador PCMCIA admite dos ranuras. La dirección de memoria y el bus de datos se comparten con la interfaz PCMCIA. Se requiere lógica de pegamento. Los canales de E / S serie implementan una interfaz USB esclava, un SDLC , dos UART , una interfaz IrDA , un MCP y un puerto serie síncrono .

El SA-1100 tenía un chip complementario, el SA-1101. Fue presentado por Intel el 7 de octubre de 1998. El SA-1101 proporcionó periféricos adicionales para complementar los integrados en el SA-1100, como un puerto de salida de video, dos puertos PS / 2 , un controlador USB y un controlador PCMCIA que lo reemplaza en el SA-1100. El diseño del dispositivo comenzó por DEC, pero solo se completó parcialmente cuando lo adquirió Intel, quien tuvo que terminar el diseño. Se fabricó en la antigua planta de fabricación de DEC en Hudson, Massachusetts , que también se vendió a Intel.

El SA-1100 contenía 2,5 millones de transistores y medía 8,24 mm por 9,12 mm (75,15 mm 2 ). Se fabricó en un proceso CMOS de 0,35 μm con tres niveles de interconexión de aluminio y se empaquetó en un TQFP de 208 pines.

Uno de los primeros destinatarios de este procesador fue el netBook Psion y su hermano Psion Series 7, más orientado al consumidor .

SA-1110

El SA-1110 fue un derivado del SA-110 desarrollado por Intel. Fue anunciado el 31 de marzo de 1999, posicionado como una alternativa al SA-1100. En el momento del anuncio, las muestras se fijaron para junio de 1999 y el volumen más tarde ese año. Intel descontinuó el SA-1110 a principios de 2003. El SA-1110 estaba disponible en versiones de 133 o 206 MHz. Se diferenciaba del SA-1100 por ofrecer soporte para SDRAM de 66 MHz (solo versión de 133 MHz) o 103 MHz (solo versión de 206 MHz) . Su chip compañero, que proporcionó soporte adicional para periféricos, fue el SA-1111. El SA-1110 estaba empaquetado en una matriz de rejilla de microesferas de 256 pines . Se utilizó en teléfonos móviles, asistentes de datos personales (PDA) como el Compaq (más tarde HP) iPAQ y HP Jornada , las plataformas basadas en Linux Sharp SL-5x00 y el Simputer . También se utilizó para ejecutar Intel Web Tablet, una tableta que se considera potencialmente la primera en introducir la navegación web portátil de pantalla grande. Intel dejó caer el producto justo antes de su lanzamiento en 2001.

SA-1500

El SA-1500 fue un derivado del SA-110 desarrollado por DEC inicialmente destinado a decodificadores . Fue diseñado y fabricado en pequeños volúmenes por DEC, pero Intel nunca lo puso en producción. El SA-1500 estaba disponible de 200 a 300 MHz. El SA-1500 presentaba un núcleo SA-110 mejorado, un coprocesador en chip llamado Attached Media Processor (AMP) y una SDRAM en chip y un controlador de bus de E / S. El controlador SDRAM admitía SDRAM de 100 MHz y el controlador de E / S implementó un bus de E / S de 32 bits que puede funcionar a frecuencias de hasta 50 MHz para conectarse a periféricos y al chip complementario SA-1501.

El AMP implementó un conjunto de instrucciones de palabras de instrucciones largas que contienen instrucciones diseñadas para multimedia, como multiplicar-acumular enteros y de coma flotante y aritmética SIMD . Cada palabra de instrucción larga tiene un ancho de 64 bits y especifica una operación aritmética y una rama o una carga / almacenamiento. Las instrucciones operan en operandos de un archivo de registro de 36 bits de 64 entradas y en un conjunto de registros de control. El AMP se comunica con el núcleo SA-110 a través de un bus en el chip y comparte la caché de datos con el SA-110. El AMP contenía una ALU con un cambiador, una unidad de sucursal, una unidad de carga / almacenamiento, una unidad de acumulación y multiplicación y una unidad de punto flotante de precisión simple . El AMP admitía instrucciones definidas por el usuario a través de un almacén de control de escritura de 512 entradas.

El chip complementario SA-1501 proporcionó capacidades adicionales de procesamiento de video y audio y varias funciones de E / S, como puertos PS / 2, un puerto paralelo e interfaces para varios periféricos.

El SA-1500 contiene 3,3 millones de transistores y mide 60 mm 2 . Se fabricó en un proceso CMOS de 0,28 µm. Utilizaba una fuente de alimentación interna de 1,5 a 2,0 V y 3,3 VI / O, consumiendo menos de 0,5 W a 100 MHz y 2,5 W a 300 MHz. Estaba empaquetado en un paquete plano cuádruple de metal de 240 pines o una matriz de rejilla de bolas de plástico de 256 bolas .

Pestillo StrongARM

El pestillo StrongARM es una topología de circuito de pestillo electrónico propuesta por primera vez por los ingenieros de Toshiba Tsuguo Kobayashi et al. y recibió una atención significativa después de ser utilizado en microprocesadores StrongARM. Se usa ampliamente como amplificador de detección , comparador o simplemente como un pestillo robusto con alta sensibilidad.

Referencias

Otras lecturas

  • "StrongARM-1500 lucha con MPEG-2". (8 de diciembre de 1997). Informe del microprocesador .
  • Halfhill, Tom R. (19 de abril de 1999). "Intel flexiona StrongArm con nuevos chips". Informe del microprocesador .
  • Litch, Tim; Slaton, Jeff (marzo / abril de 1998). "Comunicaciones portátiles StrongARMing". IEEE Micro . págs. 48–55.
  • Santhanam, S. et al. (Noviembre de 1998). "Una CPU RISC de 300 MHz de bajo costo con procesador de medios adjunto". IEEE Journal of Solid-State Circuits , vol. 33, no. 11. págs. 1829-1839.
  • Turley, Jim (13 de noviembre de 1995). "StrongArm mejora el rendimiento de ARM". Informe del microprocesador .
  • Turley, Jim (15 de septiembre de 1997). "SA-1100 pone PDA en un chip". Informe del microprocesador .
  • Witek, Rich; Montanaro, James (1996). "StrongARM: Un procesador ARM de alto rendimiento". Actas de COMPCON '96 , págs. 188-191.